基于FPGA的多功能数字钟设计.doc

资料分类:理工论文 上传会员:朱丽安 更新时间:2020-03-26
需要金币2000 个金币 资料包括:完整论文 下载论文
转换比率:金额 X 10=金币数量, 例100元=1000金币 论文字数:7358
折扣与优惠:团购最低可5折优惠 - 了解详情 论文格式:Word格式(*.doc)

摘 要:本文基于FPGA的开发环境,使用硬件描述语言VHDL进行多功能数字钟的设计与实现。本次设计以Quartus II 软件为平台,通过多层模块化的方式将整个数字钟的系统分成了分频模块、计时模块、校时模块、模式切换模块、整点报时模块和显示译码模块,对单独的每个模块进行设计与仿真,然后将各个模块组合起来后编译和仿真,最后将编程文件下载到FPGA实验板上进行验证,完成数字钟的功能要求。基于FPGA的多功能数字钟比起传统的机械式时钟有着更高的准确性和更长的使用寿命,还可以很灵活的对其功能进行扩展,在工业和自动化等各方面将有一定的实际应用价值,在数字信息时代人们对各方面的需求都在增加,将来数字时钟将会有更多的功能需求。

关键词:FPGA,多功能数字钟,VHDL,Quartus II

 

目录

摘要

Abstract

1  前言-5

1.1  课题背景和意义-5

1.2  课题研究方法和内容-6

2  软件开发环境-6

2.1  FPGA简介-6

2.2  Quartus II软件设计平台-6

3  系统硬件设计-8

3.1  总体设计方案-8

3.2  硬件电路构成-8

4  系统软件设计-11

4.1  主程序软件设计流程-11

4.2  单元模块的软件设计与实现-12

5  系统仿真与实验-17

5.1  功能仿真-17

5.2  FPGA开发板验证-19

结  论-21

参 考 文 献-22

致  谢-23

相关论文资料:
最新评论
上传会员 朱丽安 对本文的描述:本次设计将采用VHDL硬件描述语言,这是一种具有很强描述能力的语言,语法与计算机高级语言很相似,适用于EDA工具,主要应用在数字电路中。使用VHDL设计完成后的实体可以一直调用满......
发表评论 (我们特别支持正能量传递,您的参与就是我们最好的动力)
注册会员后发表精彩评论奖励积分,积分可以换金币,用于下载需要金币的原创资料。
您的昵称: 验证码: