基于VHDL的交通灯控制系统设计.doc

资料分类:本科论文 上传会员:张老师 更新时间:2016-12-27
需要金币1000 个金币 资料包括:完整论文 下载论文
转换比率:金额 X 10=金币数量, 例100元=1000金币 论文字数:10624
折扣与优惠:团购最低可5折优惠 - 了解详情 论文格式:Word格式(*.doc)

摘要:当今时代是一个自动化时代,智能设备有了很大的发展,交通灯控制等很多行业的设备都与计算机密切相关,自动化程度较高,因此,一个好的交通灯控制系统,将给人们的出行带来很多方便。

传统的交通灯控制系统主要用单片机或PLC实现,本文介绍的是一种基于EDA技术设计的交通灯控制系统。EDA技术的一个重要特征就是使用硬件描述语言(HDL)来完成系统的设计文件,应用VHDL语言设计的数字电路系统比较简单,所以得到设计者的广泛采用。本设计主要就交通信号灯的设计要符合实际生活,提出了基于VHDL语言的交通信号灯系统的硬件实现方法。

本系统采用Altera公司生产的FPGA为中心器件来设计交通灯控制器。交通灯控制系统工作时,红灯、黄灯、绿灯循环点亮,数码管以倒计时的方式显示红、黄、绿灯剩余的时间。本系统实用性强、操作简单、扩展功能强。

 

 

关键词:交通灯,FPGA,VHDL,控制系统

 

目录

摘要

ABSTRACT

第一章 绪论-1

1.1 交通信号和交通信号灯-1

1.2 交通信号灯的发展-1

1.3 研究目的-2

第二章 EDA技术的概述-3

2.1 EDA技术的发展史-3

2.2 EDA技术的应用特点-4

2.3 FPGA概述-4

2.3.1 FPGA简介及其优点-4

2.3.2 FPGA结构-4

第三章 VHDL语言和QuartusⅡ开发系统概述-6

3.1 VHDL及其优点-6

3.2 VHDL程序基本结构-6

3.3 QuartusⅡ的设计平台-7

3.3.1 软件开发环境-7

3.3.2 具体设计流程-7

第四章 交通灯控制系统设计-9

4.1 交通灯系统工作流程-9

4.2 数字时钟控制器设计-9

4.2.1 分频模块-9

4.2.3 调时、调分模块-13

4.2.4 数码扫描模块-14

4.2.5 译码显示模块-16

4.3  交通灯信号控制器的VHDL设计-17

4.3.1 时段控制模块-17

4.3.2 紧急情况控制模块-19

4.3.3 消抖信号模块-19

4.3.4 报警模块-20

4.3.5 信号灯控制模块-21

4.3.6 数据选择及位选译码模块-29

4.3.7 译码显示模块-31

4.3.8 结果分析-32

参考文献-33

致谢-34

附录:交通灯系统顶层电路图-35

相关论文资料:
最新评论
上传会员 张老师 对本文的描述:交通信号灯用轮流显示红灯、黄灯和绿灯来指挥交通的通行或停止。日常生活中我们用红色表示危险,绿色表示安全,黄色表示警觉。这是因为红光较其他颜色而言具有很强的穿透力,......
发表评论 (我们特别支持正能量传递,您的参与就是我们最好的动力)
注册会员后发表精彩评论奖励积分,积分可以换金币,用于下载需要金币的原创资料。
您的昵称: 验证码: